Книжная полка Сохранить
Размер шрифта:
А
А
А
|  Шрифт:
Arial
Times
|  Интервал:
Стандартный
Средний
Большой
|  Цвет сайта:
Ц
Ц
Ц
Ц
Ц

Язык описания электронной аппаратуры VHDL

Покупка
Новинка
Артикул: 842368.01.99
Доступ онлайн
800 ₽
В корзину
Пособие посвящено проектированию узлов ЭВМ, периферийных устройств и прочих цифровых систем с помощью высокоуровневого языка описания аппаратуры VHDL. Этот язык является международным стандартом и используется в качестве основы лингвистического обеспечения как в системах анализа (моделирования), так и в системах синтеза цифровой аппаратуры. Ведущие мировые САПР БИС поддерживают описания на языке VHDL. Учебное пособие посвящено основам языка VHDL и предназначено для быстрого ознакомления с концептуальными положениями этого языка. Рассмотрены вопросы и базовые принципы параллельного программирования, положенные в основу языка VHDL, а также принципы организации VHDL-проекта и взаимосвязь компонентов проекта с физическими процессами, протекающими в реальных цифровых устройствах. Для студентов, изучающих язык VHDL в рамках учебных курсов "Элементная база ЭВМ", "Архитектура ЭВМ", "Организация вычислительных систем".
Берчун, Ю. В. Язык описания электронной аппаратуры VHDL : учебное пособие / Ю. В. Берчун. - Москва : Изд-во МГТУ им. Баумана, 2010. - 63 с. - Текст : электронный. - URL: https://znanium.ru/catalog/product/2169663 (дата обращения: 19.09.2024). – Режим доступа: по подписке.
Фрагмент текстового слоя документа размещен для индексирующих роботов
Московский государственный технический университет  
имени Н.Э. Баумана 
Ю.В. Берчун  
 
 
ЯЗЫК ОПИСАНИЯ  
ЭЛЕКТРОННОЙ АППАРАТУРЫ  
VHDL 
 
 
Рекомендовано Научно-методическим советом  
МГТУ им. Н.Э. Баумана в качестве учебного пособия 
 
 
 
 
 
 
 
 
 
 
 
М о с к в а  
Издательство МГТУ им. Н.Э. Баумана 
2 0 1 0  


УДК 681.326(075.8) 
ББК 22.18 
Б52 
Рецензенты: С.Р. Иванов, Р.Ш. Загидуллин 
Б52 
 
Берчун Ю.В.  
  
 
       Язык описания электронной аппаратуры VHDL : учеб. 
пособие / Ю.В. Берчун. — М.: Изд-во МГТУ им. Н.Э. Баумана, 2010. — 61, [3] с.: ил. 
 
Пособие посвящено проектированию узлов ЭВМ, периферийных устройств и прочих цифровых систем с помощью высокоуровневого языка описания аппаратуры VHDL. Этот язык является международным стандартом и используется в качестве основы 
лингвистического обеспечения как в системах анализа (моделирования), так и в системах синтеза цифровой аппаратуры. Ведущие 
мировые САПР БИС поддерживают описания на языке VHDL. 
Учебное пособие посвящено основам языка VHDL и предназначено для быстрого ознакомления с концептуальными положениями 
этого языка. Рассмотрены вопросы и базовые принципы параллельного программирования, положенные в основу языка VHDL, а также 
принципы организации VHDL-проекта и взаимосвязь компонентов 
проекта с физическими процессами, протекающими в реальных цифровых устройствах. 
Для студентов, изучающих язык VHDL в рамках учебных курсов 
«Элементная база ЭВМ», «Архитектура ЭВМ», «Организация вычислительных систем». 
УДК 681.326(075.8) 
ББК 22.18 
 
 
 
 
 
 
 
 
© МГТУ им. Н.Э. Баумана, 2010 
 
2 


ВВЕДЕНИЕ 
Развитие 
систем 
автоматизированного 
проектирования 
(САПР) в области электроники привело к созданию унифицированных языковых средств исходного описания проектов больших и 
сверхбольших интегральных схем (БИС, СБИС). Языки такого рода необходимы для решения задач высокоуровневого проектирования цифровых СБИС. Под высокоуровневым понимается алгоритмическое и логическое проектирование СБИС, а основными 
задачами высокоуровневого проектирования являются моделирование проектов, синтез логических схем и верификация. 
Унифицированными языками для описания СБИС стали VHDL 
и Verilog. Они фактически являются международными стандартами и входными языками промышленных САПР СБИС различных 
типов — заказных, полузаказных и программируемых логических 
интегральных схем (ПЛИС).  
Например, VHDL служит базовым языком проектирования 
(сквозного моделирования и синтеза) в свободно распространяемой САПР WebPack ISE фирмы Xilinx, которая является одним из 
крупнейших производителей кристаллов ПЛИС. Поддержка языков VHDL и Verilog обязательна для всех крупных САПР ведущих 
мировых производителей, таких как Cadence, Mentor Graphics, 
Synopsys и др. Существуют и специализированные САПР, ориентированные на синтез решений на базе ПЛИС различных производителей, например Active-HDL фирмы ALDEC. 
 
3 


 
1. УРОВНИ ОПИСАНИЯ ЭЛЕКТРОННОЙ АППАРАТУРЫ 
Современные цифровые электронные приборы являются, без 
сомнения, сложными системами, состоящими из миллионов транзисторов. Проектирование любой сложной системы предполагает 
применение блочно-иерархического подхода, позволяющего сократить вероятность ошибок, обусловленных большой размерностью 
задачи. Проектирование цифровой электронной аппаратуры не 
является исключением, более того, здесь уровни иерархии можно 
выделить гораздо более четко, чем во многих других прикладных 
областях. Для блоков, описываемых на каждом из уровней, характерны свой набор элементов, способ представления информации 
(язык описания), используемый математический аппарат. 
Обычно выделяют пять уровней описания вычислительных 
систем: 
1) системный; 
2)  вычислительных процессов; 
3) функционально-логический; 
4) схемотехнический; 
5) компонентный. 
Функционально-логический уровень является самым емким, в 
нем дополнительно выделяют ряд подуровней: 
1)  функционирования ЭВМ; 
2)  устройств (узлов) ЭВМ; 
3) регистровый; 
4) вентильный. 
Языки описания аппаратуры (Hardware Description Language — 
HDL) позволяют описывать блоки в первую очередь на функционально-логическом уровне, наибольшее распространение имеют на 
регистровом и вентильном подуровнях и при описании узлов 
ЭВМ. Проекты на языках HDL могут быть использованы и для 
решения задач системного уровня проектирования. Кроме того, 
 
4 


специальные расширения языка (например, VHDL-AMS) могут 
рассматриваться как промежуточный подуровень описания между 
вентильным подуровнем функционально-логического уровня и 
схемотехническим уровнем, что позволяет моделировать не только 
цифровую, но и аналоговую аппаратуру. 
2. ОБЗОР HDL 
2.1. История развития HDL 
С начала 1970-х годов стала актуальной проблема создания 
стандартного средства документации схем и алгоритмов дискретных систем переработки информации и цифровой аппаратуры, 
одинаково пригодной как для восприятия человеком, так и для обработки на ЭВМ. 
Известно большое число предшественников современных HDL, 
как отечественных, так и зарубежных. Отечественные — «МОДИС», «МОДИС-В87» «Автокод-М», MPL, ООС-2, «Форос», «Алгоритм», «Пульс», «Симпатия» и др., зарубежные — CDL, DDL, 
ISPS, CONLAN, HILO и др. 
В настоящее время известны два стандартных языка описания 
аппаратуры — VHDL и Verilog-HDL, называемый далее для краткости Verilog. 
Язык VHDL (Very high speed integrated circuit Hardware Description 
Language — язык описания сверхскоростных БИС) был разработан 
международной группой по заданию Министерства обороны США в 
начале 1980-х годов в целях обеспечения единообразного понимания 
подсистем различными проектными группами. В 1987 г. спецификация языка VHDL была утверждена стандартом ANSI/IEEE STD 10761987. Удобство и относительная универсальность конструкций этого 
языка достаточно быстро привели к созданию программ моделирования систем на основании их описания в терминах VHDL. 
С начала 1990-х годов разрабатываются прямые компиляторы 
VHDL-описаний в аппаратные реализации различных классов. Наряду с необходимостью более адекватного представления в языке 
современных тенденций в цифровой схемотехнике это привело к 
созданию расширенного стандарта ANSI/IEEE STD 1076-1993. В 
 
5 


г. была утверждена последняя версия стандарта ANSI/IEEE 
STD 1076-1999, известная как VHDL-AMS (AMS — Analog and 
Mixed-Signal Extensions). Наиболее существенным нововведением 
этой версии языка, как понятно из названия, является появление 
конструкций, обеспечивающих эффективное описание аналоговых 
и смешанных цифро-аналоговых устройств. 
Работу над усовершенствованием стандарта ведет группа 
VASG (VHDL Analysis and Standardization Group). Ведутся также 
работы по стандартизации внутренней формы представления 
VHDL-описаний в ЭВМ (группа VIFASG — VHDL Intermediate 
Form Analysis and Standardization Group), формы задания тестов 
для VHDL-моделей (группа WAVES — Waveform and Vector Exchange to Support Design and Test Verification), задания параметров 
задержек компонентов (группа VITAL — VHDL Initiative Towards 
Application-Specific Integrated Circuit Libraries), алфавита представления значений сигналов в моделях и операции в этом алфавите 
(стандарт IEEE std_logic_1164) и т. д. 
Язык Verilog был разработан в 1985 г. фирмой Gateway Design 
Automation как язык моделирования, ориентированный на внутреннее 
применение. Позднее, в 1989 г., эта фирма была куплена корпорацией 
Cadence, которая открыла Verilog для общественного использования. 
После этого язык был стандартизован — IEEE 1364-1995. В отличие 
от VHDL, который строго типизирован и синтаксически напоминает 
языки ADA и Pascal, Verilog базируется на C, имеет меньше встроенных возможностей саморасширения, но зато более прост в реализации, имеет более развитый интерфейс с языком C и лаконичен. В настоящее время принят стандарт IEEE 1364-2001, который, в 
частности, включил в себя ряд стилистических средств, сближающих 
его с VHDL. Несмотря на то, что VHDL был создан раньше и предоставляет более широкие возможности, Verilog стал достаточно популярен и компиляторы с этого языка наряду с VHDL-компиляторами 
включены в подавляющее большинство САПР БИС. Более того, зачастую поддерживается компиляция смешанных проектов. 
2.2. Варианты использования HDL 
Проектировщик БИС может составить функциональное HDLописание проектируемого кристалла и, используя систему модели 
6 


рования САПР, проверить его соответствие спецификации (провести функциональную верификацию). После этого с помощью 
системы логического синтеза он может автоматически синтезировать схему (получить ее структурное HDL-описание) в заданном 
элементном базисе, затем путем моделирования полученной логической схемы оценить корректность результатов синтеза, после 
чего с помощью системы автоматизированного конструкторского 
проектирования провести трассировку соединений, а моделированием проверить правильность работы схемы с учетом задержек и 
наводок.  
Возможен автоматический синтез схем с учетом контролепригодности, синтез контролирующих тестов, а также анализ тестов 
на полноту и корректность. Языки HDL используются не только 
для представления проектируемых схем, но и для описания тестирующих программ (testbench) и тестов. 
Имея в своем распоряжении выполненные с учетом требований 
многократного использования HDL-описания ранее спроектированных устройств, с помощью САПР несложно включать эти описания в состав новых проектов, повторно реализовать их на более 
современной технологии и т. п. 
Инженер-эксплуатационщик цифровой электронной аппаратуры при наличии документации в виде HDL-описания устройства и 
тестирующей программы на их базе может осуществить модернизацию схем, использовать HDL-модели при поиске неисправностей в схеме и доработке контрольных тестов. 
Стандартизация входных языков и внутренних интерфейсов 
подсистем САПР, в том числе и на базе HDL, создает общую коммуникационную среду проектирования, позволяет упростить интеграцию решений различных производителей программного обеспечения, обмен библиотеками моделей компонентов и проектов, 
модернизацию отдельных подсистем САПР. 
2.3. Преимущества HDL 
К основным достоинствам HDL следует отнести следующие: 
• стандартность; 
• многоаспектность и иерархичность; 
• пригодность для восприятия человеком и обработки на ЭВМ. 
 
7 


Доступ онлайн
800 ₽
В корзину